Mantra VLSI

Welcome To VLSI Very Large Sea of Information

Pages

  • Home
  • All VLSI Presentations
  • Basic Electronics
  • Synthesis
  • Flip Chip technology
  • VLSI Interview Questions

Saturday, 23 June 2012

VLSI Design FLow


Chip Design Flow
Physical Design Flow
Posted by Mantra at 22:43 1 comment:
Email ThisBlogThis!Share to XShare to FacebookShare to Pinterest
Newer Posts Older Posts Home
Subscribe to: Posts (Atom)

link

  • VLSI

Popular Posts

  • Min Pulse Width Violation
    Min pulse width check is to ensure that pulse width of clock signal is more than required value. Basically it is based on frequency...
  • max transition violations
    When a signal takes too long transiting from one logic level to another, a transition violation is reported. The violation is a functi...
  • CLP low power checks
     Once we start working on low power design, we need to perform low power checks  That includes      Reading the PG netlist      Reading the ...
  • power switch in VLSI Chip
    A power switch is used to implement the power gating for a power domain. Power switch that we create in UPF is an abstract model of the ...
  • Power Gating in VLSI physical design
    Power gating is a technique used in integrated circuit design to reduce power consumption, by shutting off the current to blocks of the c...
  • Clock Uncertainty
    You can model the expected uncertainty (skew) for a prelayout design with setup or hold and rise or fall uncertainty values. PrimeTime s...
  • A tie-high, tie-low circuit
    Tie-high and tie-lo cells are used to avoid direct gate connection to the power or ground network. In your design, some cell inputs may r...
  • MCMM: Multi-Corner Multi-Mode
    What's MCMM MCMM stands for: Multi-Corner Multi-Mode (static timing analysis used in the design of digital ICs) What's a Mode A...
  • Timing Models (ETM, QTM, ILM)
    --> Timing Models: If we want to perform static timing analysis on a chip using PrimeTime, every leaf cell must have a timing model...
  • All VLSI ppt
    Verilog from Mantra VLSI  Physical design from Mantra VLSI   Divide by N clock Divide by N clock from M...

Blog Archive

  • ►  2022 (2)
    • ►  September (2)
  • ►  2020 (9)
    • ►  August (9)
  • ►  2019 (3)
    • ►  January (3)
  • ►  2017 (1)
    • ►  November (1)
  • ►  2016 (22)
    • ►  June (3)
    • ►  April (8)
    • ►  March (11)
  • ►  2015 (11)
    • ►  October (9)
    • ►  January (2)
  • ►  2014 (124)
    • ►  November (3)
    • ►  October (7)
    • ►  September (3)
    • ►  August (30)
    • ►  July (23)
    • ►  June (55)
    • ►  May (3)
  • ▼  2012 (1)
    • ▼  June (1)
      • VLSI Design FLow
  • ►  2011 (2)
    • ►  June (2)
  • ►  2010 (1)
    • ►  June (1)

Learn more

  • atomic model
  • cadence help command
  • clock gating
  • clock skew
  • crosstalk noise and crosstalk delay
  • device-fabrication
  • different-vt-cells
  • doping-p-n-junction
  • electrostatic-discharge
  • fanout
  • fix setup-hold
  • half-adder
  • hold violation
  • leakage current
  • Litho based Routing
  • low power
  • Mantra VLSI
  • max cap
  • Max trans
  • min pulse width violation
  • MMMC
  • MUX
  • Nand based design
  • NDR
  • netlistless floorplan
  • PCB Package Die Chip
  • PCB-Package-Die Chip
  • periodic table
  • photolithography
  • physical-design-interview
  • physical-design-questions-placement
  • placement - manufacturing grid
  • Power analysis
  • Power Gating
  • power switch
  • Power trends
  • prime time flow
  • PVT
  • QRC & Cap table
  • Recovery and Removel Checks
  • redhawk inputs static-dynamic IR
  • Report global timing
  • report_constraints
  • reset
  • Resistor color coding
  • Routing
  • sanity checks analysis coverage
  • SDC
  • Semiconductor Lithography
  • semiconductors
  • series-parallel capacitance
  • setup hold violation
  • SPEF
  • static timing reports
  • Switch
  • tap - decap-end_cap cells
  • temperature-inversion
  • Terminology in VLSI
  • tie-cells
  • time borrowing- stealing
  • timing models etm-qtm-ilm
  • TLU+
  • Transistor
  • Transmission gate
  • Unconstrainted points
  • verilog codes
  • VI-characteristics
  • VLSI CELLS
  • VLSI design flow
  • Wafer
  • Wire bonding
  • WLM

Read More..

  • Min Pulse width Violation
  • Aspect Ratio
  • Standard cell row
  • Linux command
  • LatchUP
  • LVS
  • LEF to OA cadence
  • Jitter
  • Input output delay
  • ITF
  • IC Packaging
  • High vt low vt
  • HFN Synthesis
  • HCE
  • Full Adder
  • Flip chip
  • File format
  • FSM
  • Fin FET
  • FF
  • Electron bonding
  • EM Self heating
  • Derating
  • Delay in Chip
  • Decap
  • DE-MUX
  • DRC
  • DC-topo Synthesis
  • Cu-Piller
  • Crosstalk
  • Clock Uncertainty
  • CRPR
  • CMOS
  • CLK Buff and normal buff
  • CDMA
  • CCS NLDM
  • C4B
  • Blockages vs Halo
  • Antenna effects
  • AAE
  • tie-high-low
  • vlsi-interview-questions
All rights reserved, Template By Mantra VLSI 2010. Simple theme. Powered by Blogger.