SDC: Synopsys Design Constraints: SDC has the following content:
- CLOCK DEFINITIONS:Create Clock Period.
- Generated Clock Definitions
- Input Delay
- Output Delay
- I/O delay
- Max delay
- Min Delay
- Multi cycle path
- False path
- Half cycle path
- Disable timing arcs
- Case Analysis
Clock Definition:
- create_clock
- create_generated_clock
The above two are the most important statements in the SDC, there must be a proper clock definition. If these clock definitions are proper you might have to talk to the Synthesis Guy or look back to the synthesis stage
if you have many clocks (~100 clocks including generated), then it must to have their proper information to balance and fix timing.
Clock uncertainty:
- set_clock_uncertainty
- set_clock_transition -rise/fall
False Paths
- A path that can never be sensitized in the actual circuit
- These paths are those that are logically/functionally impossible
- The goal in static timing analysis is to do timing analysis on all “true” timing paths, these paths are excluded from timing analysis.
- set_false_path statements
- set_false_path -from [get_clocks clk1] -to [get_clocks clk2]
- set_false_path -from [get_ports TX_REG1]
Multicycle path:
- Those paths that require more than one clock period for execution are called as multi-cycle paths.
- It’s essential that multi-cycle paths in the design be identified both for synthesis and STA.
set_multicycle_path 1 -hold -end -from ff1/CP -to ff2/D
Operating conditions
“set_operating_conditions” statements specify the operating conditions for the design.
Specifying Ideal Networks – No optimizations required